Proteus proteus元器件库大全

admin 01-19 76阅读 0评论

本文目录一览:

proteus怎样设置接地符号?

1、首先,打开proteus 5软件,如下图所示。然后,在软件界面左侧工具栏中点击下图中箭头所指的图标,如下图所示。接着,在右侧页面出现的选项中点击“GROUND”,如下图所示。

2、GROUND中。在Proteus的工具栏中,可以通过选择“Terminalsmode”中选择GROUND添加接地符号。将在电路图中插入一个表示接地连接的符号。

3、工具栏中的“Terminalsmode”。根据查询proteus官网显示,proteus的接地符号在工具栏中的“Terminalsmode”中,“ground”选项。

4、首先在电脑上打开proteus软件,进入加载界面。软件加载完成后,就进入到软件编辑界面了,如图所示。然后在软件的工具栏中找到“Terminals mode”图标进行点击。

5、proteus中接地线的位置如下:找到电脑上的ISIS软件,双击打开。点击图标。在器件栏里找到GROUND接地线。在白板上左键单机就会出现接地线了。

如何查看proteus的仿真波形?

1、首先在电脑中,打开proteus软件,如下图所示。然后选择工具栏的虚拟仪器。点击第一个示波器选项。接着将示波器拖动到想要的连接的位置,如下图所示。然后点击左下方的启动按钮,如下图所示。

2、打开proteus,在左侧工具栏中找到一个“仪表”图标,鼠标停留在该图标上会提示“Virtual Instruments Mode”。点击该图标会显示出一个“INSTRUMENTS”的界面,选择“OSCILLIOSCOPE”即“示波器”。

3、proteus示波器显示波形时,是黑背景,四个通道波形的颜色各不相同。要直接在proteus里打印波形,可以设置打印的颜色。在示波器的屏幕上点右键,出来一个小菜单。如下图,选择Setup就是设置颜色,选择Print 就是打印。

4、你要调一下触发信号,包括信号的边沿(上升或下降)、电平大小,这个和真实的示波器是一样的,还有,要调一下时标,不适当的时标也会让波形出不来。

5、从proteus 5以后,示波器是四个通道了,可以同时显示四个信号的波形。它不在库里找,而是在左边工具栏里,如下图,先点虚拟仪器按纽,画红圈的,列表中的第一个就是示波器。

6、打开软件后,在devices窗口有“P和L两个按键,按p,然后在弹出的pick devices窗口左上角“keywords处输入”VSINE即可。

proteus开关在哪

1、首先在电脑上打开proteus软件,图为软件加载界面。进入到软件界面后,点击图中箭头所指的“P字”按钮。然后就会进入到元件检索的一个窗口中,如图所示。假设需要寻找轻触开关,则在keywords下面输入“button”。

2、打开proteus的主界面,点击图示的按钮。下一步,需要通过搜索框输入SW-SPDT以后进行选择。这个时候会显示两个按钮,直接点击OK。这样一来在DEVICES选择相关对象来放置,即可找单刀双掷开关了。

3、首先打开Proteus软件,来到下图的位置,按步骤点两个图标。打开元件库之后,就可以看见很多元器件,这时在输入栏输入关键字就可以进行搜索了,在这里我搜索了一个LED,就出来了很多种LED供选择。

4、有。在proteus界面中左边中间有个按键P,点击它,弹出来元件检索界面,在左上搜索框中输入button或者switch,在搜索栏下方正对着会出现相应的旋转开关。

5、请输入“switch”,如下图所示,然后进入下一步。最后,完成上述步骤后,选择需要使用的开关,并将其放置在软件编辑区域中。完成上述设置后,可以在PROTEUS中找到选择按钮开关,如下图所示。这样,问题就解决了。

Proteus proteus元器件库大全

proteus中的示波器怎样使用?

1、首先要把有正有负的交流电压变换为都为正的,如用绝对值线路。还要通过比较器把交流电压变换为脉冲线路。脉冲线路用来测频率(周期)。绝对值线路用来通过AD转换来计算有效值。

2、密度及其速度。改变控制极的电压时,电子束中电子的数目将随之改变,光点亮度也就改变。在使用示波器时,不宜让很亮的光点固定出现在示波管荧光屏一个位置上,否则该点荧光物质将因长期受电子冲击而烧坏,从而失去发光能力。

3、用proteus仿真来测量的,那里的虚拟仪表中的示波器是4通道的,可以同时测量4个波形。这样,把单片机的三个引脚接到示波的3个通道上,就可以同时测量出这个时序了。你的程序有问题,所以,测不出来波形的。

4、Proteus中的示波器可以最多同时连接4个通道,你想观察哪条信号线上的波形,就把那条信号线连接到示波器的A、B、C、D四个中的任意一个即可,这四个通道的区别就是显示波形的颜色不同。如图所示。

5、将示波器放入proteus文件界面,将ABCD脚接线到需要测量的端子处。运行仿真文件时就会弹出波形界面,假如没有弹出,需要点击debug菜单中最后一个按钮,选择频率器打开链接进行连接即可。

6、点击一下会出现图中样子,调整的合适位置再点击一下放置图纸上。或者在图中空白右键按照图中操作,如下图所示。然后将示波器用到的一端连接到芯片上,点击运行,如下图所示。

Proteus应用技巧

1、Tidy快速清除原理图中无用元件 实用Proteus的“Edit”里的“Tidy”,可以快速去掉原理图中没有用上的且又在元件加载列表中已显示了的元件,利用这个功能,我们可以在画完原理图后将没有用上的元件在列表中清除。

2、元件的拾取 在桌面上选择【开始】→【程序】→“Proteus 7 Professional”,单击蓝色图标“ISIS 7 Professional”打开应用程序。ISIS Professional的编辑界面如图3所示。

3、打开proteus 按照电路图,对元件进行布局和连线,完成电路图 点击如图,开始仿真 点击如图所示,靠右边的暂停。点击如图所示,最左边的暂停。

4、为了找到Proteus中7段数码管显示的模型,只需在组件搜索框中输入要搜索的模型的前4个关键字,然后选择列表中的特定模型。7段数码管显示器由发光二极管组成,通过不同的组合可以显示0—A—F和小数点等字符。

文章版权声明:除非注明,否则均为915资讯网原创文章,转载或复制请以超链接形式并注明出处。

发表评论

快捷回复: 表情:
AddoilApplauseBadlaughBombCoffeeFabulousFacepalmFecesFrownHeyhaInsidiousKeepFightingNoProbPigHeadShockedSinistersmileSlapSocialSweatTolaughWatermelonWittyWowYeahYellowdog
评论列表 (暂无评论,76人围观)

还没有评论,来说两句吧...

目录[+]